本文へスキップします。

h1_twあり

編集局ブログ

編集部ブログ

【新刊案内】『FPGAの原理と構成』本日発売!

編集局ブログ 2016/04/22

最近,なにかと話題のFPGAの技術書『FPGAの原理と構成』が4月22日本日発売になりました.

FPGAといえば,少し前にマイクロソフトがデータセンターにFPGAを使い始めたことドワンゴがニコニコ動画などの動画配信システムの高速化にFPGAを検討していることIntelによるAltera買収などで話題になったり,最近ではディープラーニングなどニューラルネットワークとの親和性の高さからAI向けにも注目されているデバイスです.ロボットやIoT向けのデバイスとして使われることも多いので,名前だけは聞いたことがあるという方も多いと思います.

ではFPGAとは結局のところ何者なのでしょうか? 本書はその問に一通り回答する内容になっています.

少し長いですが,以下に目次に沿って概要をまとめてみます.

まず1章でFPGAを理解するための基本事項として,論理回路やデバイスとしての歴史,用語集などを取り上げます.すでに基本的なディジタル回路などの知識のある方は読み飛ばしても大丈夫ですが,歴史部分はビジネス上での現在のプレイヤーがわかる内容で,事前知識がある方にもぜひ読んでいただきたいです.

2章はFPGAの概要を説明しています.プログラマブルな回路をどのように実現しているかをていねいに述べています.本書を読む上での基本的な内容が解説されています.

3章はFPGAの構成をより深く掘り下げます.書換可能を実現する配線構造やブロックはとうぜん,ふつうのICやメモリとFPGAが大きく異なる点です.コンピュータアーキテクチャマニアの方は必読です.

4章 設計フローとツールでは設計の流れと開発環境についてみていきます.論理合成→テクノロジーマッピング→配置配線の流れはFPGAがハードウェアであることに気づかせてくれます.これまでソフトウェア一本でやってきたという方が本章を最初にみると面食らうかもしれません.最近少しずつ話題になってきた高位合成(C言語ベースのFPGA開発)も取り上げています.

5章では設計技術です.ここは4章の内容がどのように実現されているかについて述べられます.ハイスペックが要求される場合,ここを意識してHDLを書く必要があるかもしれません.それほどスペックが要求されなくても,こういう風にできているということを知っておくとよいでしょう.

6章 ハードウェアアルゴリズムはFPGAを生かすためのアルゴリズムを紹介しています.FPGA上にどんなハードウェアを実装したいのか考えてみましょう.SIMDとかMIMDとかのおなじみの用語も出てきます.

7章 PLD/FPGAの応用事例は最新の応用例がたくさんでてきて面白い章です.メーカの企画担当の方は,まず本章を読んでFPGAでどんなことが実現できるのかを見るのがよいと思います.学生さんは本章を読んで夢を膨らませてから勉強するとモチベーションが沸くと思います.ビッグデータ,金融,人工知能,SDNなど最近話題のトピックは本章で取り上げられます. 終わりに8章 新しいデバイス,アーキテクチャでは,章の名前どおり最新の技術を紹介しています.CGRAや動的再構成,低消費電力化,光などを取り上げます.

いかがだったでしょうか.

もし本書に興味を持っていただけたら書店でぜひ中身を見てみてください.FPGAは自宅で集積回路を実装できる夢のデバイスです.CQ出版様のこちらといっしょにこの春,FPGAをはじめてはいかがでしょう.

(橋本)

続きを読む "【新刊案内】『FPGAの原理と構成』本日発売!"